Welcome![Sign In][Sign Up]
Location:
Search - sram control

Search list

[Othersdram32

Description: sram 存储器控制程序很完整,值得认真研究,很有帮组-SRAM memory control program is very complete, worthy of serious study, is to help groups
Platform: | Size: 23552 | Author: 许曲 | Hits:

[VHDL-FPGA-Verilogvideodigitalsignalscontroller

Description: 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
Platform: | Size: 8192 | Author: yan | Hits:

[VHDL-FPGA-Verilogtom08

Description: SRAM 视频采集测试程序 读写时序控制 为解决时钟切换而做的测试程序-SRAM test sequential read and write control procedures to resolve the clock switching out of the test procedure
Platform: | Size: 149504 | Author: 刘留 | Hits:

[Othervideocap

Description: 视频采集控制缓存SRAM读写,对做视频采集有很好的参考。-Video capture control of the cache SRAM read and write, and to do video capture a very good reference.
Platform: | Size: 8192 | Author: 刘留 | Hits:

[ARM-PowerPC-ColdFire-MIPSmem_ctrl.tar

Description: verilog 写的 memory controller ,可以控制SDRAM SRAM NOR -written in Verilog memory controller, can control SDRAM SRAM NOR
Platform: | Size: 331776 | Author: youjia | Hits:

[VHDL-FPGA-VerilogSRAM

Description:
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-VerilogVHDL

Description: LCD控制VHDL程序与仿真和LED控制VHDL程序与仿真,都已调试过了-LCD control procedures and simulation of VHDL and VHDL program LED control and simulation, have been debug
Platform: | Size: 162816 | Author: gillyamylee | Hits:

[VHDL-FPGA-Verilogmemio

Description: 最新VHDL 模块,实现对SRAM的控制,能直接用在ALTEAR XILLIX 等 FPGA上,-Latest VHDL modules to realize the control of SRAM can be directly used for ALTEAR XILLIX such as FPGA, the
Platform: | Size: 7168 | Author: 骑士 | Hits:

[Otherzbt_vhdl_xilinx

Description: SRAM控制器可以实现SRAM数据的输出控制-SRAM controller can realize SRAM data output control
Platform: | Size: 9216 | Author: bobo | Hits:

[Software EngineeringFPGA_SDR_Sdram_LED

Description: 针对主控制板上存储器(SRAM) 存储的数据量小和最高频率低的情况,提出了基于SDR Sdram(同步动态RAM) 作为主存储器的LED 显示系统的研究。在实验中,使用了现场可编程门阵列( FPGA) 来实现各模块的逻辑功能。最终实现了对L ED 显示屏的控制,并且一块主控制板最大限度的控制了256 ×128 个像素点,基于相同条件,比静态内存控制的面积大了一倍,验证了动态内存核[7 ]的实用性。-For the main control board memory (SRAM) a small amount of stored data and the highest frequency of low, based on SDR Sdram (Synchronous Dynamic RAM) as the main memory of the LED display systems. In the experiment, the use of field programmable gate array (FPGA) to realize the logic function of each module. The eventual realization of L ED display control, and a master control panel to maximize the control of the 256 × 128 pixels point, based on the same conditions than the static memory control area has doubled, to verify the dynamic memory of nuclear [7 ] the practicality.
Platform: | Size: 510976 | Author: 郑宏超 | Hits:

[VHDL-FPGA-Verilogfpga_sram

Description: Altera cyclone ep1c6对sram idt71系列的读写时序控制-Altera cyclone ep1c6 of sram idt71 series of read and write timing control
Platform: | Size: 389120 | Author: wmy | Hits:

[Othersram

Description: 对常用的sram完成读写控制,可以根据具体地址增加参数,非常灵活-Commonly used to read and write sram to complete control, can be increased in accordance with the specific parameters of address, a very flexible
Platform: | Size: 144384 | Author: yaodi | Hits:

[VHDL-FPGA-VerilogSRAM_Controller

Description: Altera University Program的Avalon总线IP核,SRAM控制代码,可以解压后直接挂载在Avalon总线上 -Altera University Program of the Avalon bus IP core, SRAM control code can be directly mounted after decompression in the Avalon bus
Platform: | Size: 324608 | Author: vicky | Hits:

[VHDL-FPGA-Verilogsram

Description: 基于FPGA的SRAM控制程序,里面附加了在线逻辑分析功能的程序,调试时相当的方便-SRAM-based FPGA-control program, which added an online feature of the program logic analysis, debugging very convenient when
Platform: | Size: 1752064 | Author: 李成有 | Hits:

[VHDL-FPGA-VerilogSRAM_Control

Description: VHDL Code for SRAM Control (Synthesized with Synplify-Pro, Active-HDL Simulation)
Platform: | Size: 82944 | Author: DongHee Kim | Hits:

[VHDL-FPGA-VerilogSRAM

Description: FPGA控制SRAM的VERILOG源码-The VERILOG source code control SRAM FPGA
Platform: | Size: 1024 | Author: pan | Hits:

[VHDL-FPGA-VerilogSRAM-FPGA

Description: 用FPGA实现SRAM读写控制的Verilog代码-SRAM FPGA implementation using Verilog code to read and write control
Platform: | Size: 13312 | Author: austin | Hits:

[VHDL-FPGA-Verilogsram

Description: 用FPGA 控制sram读写程序的小程序,-fpga control precedure
Platform: | Size: 16384 | Author: lujian | Hits:

[VHDL-FPGA-VerilogVerilog-SRAM

Description: 用verilog hdl语言编写的fpga与片外sram 的读写控制-With the verilog hdl language fpga sram chip with read and write control
Platform: | Size: 57344 | Author: yishuihan | Hits:

[SCMSRAM

Description: This is SRAM control application source code for ST32F103 ST MCU.
Platform: | Size: 1602560 | Author: hmkim | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net